华人芯片设计技术研讨会 2024

2024年3月19—22日

中国 上海

欢迎参加

华人芯片设计技术研讨会 2024

华人芯片设计技术研讨会(ICAC Workshop)致力于为中国集成电路设计的学术界和产业界同仁建立一个顶尖的技术交流平台,营造开放的技术讨论氛围,促进可能的合作,激发新的想法和方向,集思广益,共同提高。因此,我们特别邀请过去一两年中发表了ISSCC或JSSC的中国顶尖IC设计学者/工程师在论坛上做学术报告。希望ICAC华人芯片设计技术研讨会和中国的IC产业一起共同成长,广大IC领域的同行们积极参会。

演讲嘉宾

(排名不分先后,按姓氏拼音排序)

陈知行,澳门大学

陈勇,澳门大学

陈之原,复旦大学

程林,中国科学技术大学

邓伟,清华大学

窦春萌,中国科学院微电子研究所

杜源,南京大学

樊超,西安交通大学

丰光银,华南理工大学

高昊,东南大学

高源,南方科技大学

郭建平,中山大学

郭铭强,澳门大学

郭衍束,清华大学

过悦康,上海交通大学

洪伟,东南大学(特邀)

洪志良,复旦大学

胡三明,东南大学

黄沫,澳门大学

贾海昆,清华大学

江文宁,复旦大学

江洋,澳门大学

姜俊敏,南方科技大学

焦海龙,北京大学

揭路,清华大学

林智聲,澳门大学

罗文基,澳门大学

李家明,澳门大学

李洪革,北京航空航天大学

李连鸣,东南大学

李巍,复旦大学

李显博,中山大学

李学清,清华大学

刘雷波,清华大学

刘力源,中国科学院半导体研究所

刘马良,西安电子科技大学

刘明,复旦大学(特邀)

刘寻,香港中文大学(深圳)

刘勇攀,清华大学

路延,澳门大学

吕关胜,清华大学

马宇飞,北京大学

麦沛然,澳门大学

毛方玉,莱特葳芯半导体(无锡)

毛翔宇,澳门大学

孟淼,同济大学

明鑫,电子科技大学

潘东方,中国科学技术大学

潘权,南方科技大学

潘思宁,清华大学

钱慧珍,西安电子科技大学

单伟伟,东南大学

沈林晓,北京大学

司鑫,东南大学

宋爽,浙江大学

孙厚军,北京理工大学

孙楠,清华大学

唐凯,湖南大学

唐希源,北京大学

唐中,杭州万高科技股份

涂锋斌,香港科技大学

余志樺,澳门大学

王成,电子科技大学

王扬,清华大学

王勇,电子科技大学

王源,北京大学

王远飞,澳门大学

王政,电子科技大学

吴亮,香港中文大学(深圳)

徐佳伟,复旦大学

许灏,复旦大学

许威,深圳大学

薛泉,华南理工大学

叶乐,北京大学

殷俊,澳门大学

殷韵,复旦大学

尹首一,清华大学

于維翰,澳门大学

余益明,电子科技大学

詹陈长,南方科技大学

张川,东南大学

张锋,中国科学院微电子研究所

张雷,清华大学

张明磊,澳门大学

赵博,浙江大学

赵健,上海交通大学

周波,北京理工大学

周军,电子科技大学

卓盛龙,同济大学

更多嘉宾信息更新中...

芯片设计培训班

2024年3月20日

Multimodal Analog Front-End Circuits for Wearable Healthcare

Simultaneous recordings of multiple physiological signals in a wearable form factor enables more easy and comprehensive assessment of one’s health condition. However, detecting low-amplitude, low-frequency physiological signals from multiple aggressors like noise, motion artifacts, and environmental interferences remains the great challenge in practice. This talk presents several recent examples of biopotential, bioimpedance and optical interface circuits, with focus on analog circuits techniques to optimize noise, input impedance, input dynamic range, and power consumption for wearable scenarios.

徐佳伟,复旦大学 Jiawei Xu received the M.Sc. and Ph.D. degrees in the Delft University of Technology, The Netherlands. From 2006 to 2018, he was with imec/Holst Centre, Eindhoven. In 2018, he joined Fudan University, Shanghai, China, as a faculty member. His research group works on integrated circuits for wearable and implantable medical devices, precision sensor interfaces, and battery power management. He is a TPC member of ISSCC and CICC, an Associate Editor for IEEE Transactions on VLSI Systems.

Reconfigurable Machine Learning Processor: Fundamental Concepts, Applications, and Future Trends

A reconfigurable ML processor increases hardware flexibility to accommodate various ML algorithms and speeds up processing time while consuming less power. Typically, a reconfigurable ML processor includes multiple reconfiguration hierarchies, such as chip-level, processing element array-level, and processing element-level reconfigurations. Chip-level reconfiguration dynamically adjusts the parallelism of multi-chip systems to minimize computation latency and data access. Processing element array-level reconfiguration changes the dataflow or mapping of the computing engine to fully reuse the on-chip data, reducing the memory access. Processing element-level reconfiguration changes the function of the computing unit, such as computing precision and sparsity processing pattern, to increase the bit-wise hardware utilization. This tutorial explores the fundamental concepts of reconfigurable technology, discusses its applications in both digital and analog ML processors, and prospects for future development trends in reconfigurable technology.

尹首一,清华大学 Shouyi Yin received the B.S., M.S., and Ph.D. degrees in electronic engineering from Tsinghua University, Beijing, China, in 2000, 2002, and 2005, respectively. He has worked with Imperial College, London, U.K., as a Research Associate. He is currently a full professor and the vice director of School of Integrated Circuits in Tsinghua University. His research interests include reconfigurable computing, AI processors and high level synthesis. He has published more than 100 journal papers and more than 50 conference papers. He has served as technical program committee member in the top VLSI and EDA conferences such as A-SSCC, MICRO, DAC, ICCAD and ASPDAC. He is the associate editor of IEEE TCAS-I, ACM TRETS and Integration, the VLSI journal.

Silicon-based Millimeter Wave Integrated Circuit Design Technology

This tutorial mainly focused on the design technology of silicon-based millimeter-wave integrated circuits, which including the characteristics of commonly used passive components in silicon-based millimeter-wave integrated circuits (such as transmission lines, transformers), the design process of silicon-based millimeter-wave integrated circuits, the design methods of core circuit modules (such as low-noise amplifiers, power amplifiers, voltage-controlled oscillators), and considerations for system-level link design. This tutorial integrated the research progress of the research group, aiming to help the audience to understand the basic design methods and the latest developments in the field of silicon-based millimeter-wave integrated circuits.

池保勇,清华大学 池保勇,1998年07月于北京大学获得学士学位,2003年07月于清华大学获得博士学位,毕业后留校任教至今,并曾在美国斯坦福大学进修一年,研究领域包括硅基射频/毫米波集成电路、模拟集成电路和无线通信/雷达系统芯片。目前担任清华大学集成电路学院长聘教授,副院长,某主题组副首席。先后入选国家自然科学基金委优青、教育部长江学者青年学者、教育部高层次人才计划等。发表学术论文200多篇,授权中国和美国发明专利各30多项和5项。曾经担任 IEEE TCAS-II 客座编辑、IEEE A-SSCC TPC 成员和TPC共同主席、中国科学信息科学编委和Microelectronics Journal副主编。目前担任国家重点研发计划项目“硅基超高速无线通信收发机芯片”首席科学家和某重点项目负责人。研制的毫米波雷达芯片及系统获IEEE A-SSCC SDC杰出设计奖,曾经获得霍英东教育基金、清华大学学术新人奖、产学研合作创新奖、中国电子学会优秀科技工作者等奖励。

Hybrid DC-DC Converter Design: From Seeds to Leaves

With the surging demands for extremely high current at sub-1 V supply voltage level in high performance computing, high density power delivery becomes one of the main bottlenecks for system integration. For the high current applications, high-voltage rails are essential to reduce the IR losses on the power delivery networks. Thus, there is a wide voltage gap between the power bus and the digital supply rails at the point-of-load (PoL), calling for novel power conversion topologies and system architectures. To bridge this gap, switched-capacitor-inductor (SCI) hybrid DC-DC converter has been the hottest topic in the power management IC area in the past 10 years or so. In this talk, we will review the SCI hybrid DC-DC converter from the topology “seeds” to their “leaves”, and will also introduce a few hybrid DC-DC design examples from our research group. Then, we will share several of our observations and design suggestions for future works.

路延,澳门大学 路延,博士生导师,澳门大学微电子研究院副教授,兼任深圳福田澳大河套集成电路研究院常务副院长、珠海澳大科技研究院微电子研发中心主任。2013年博士毕业于香港科技大学。2014年加入澳门大学模拟与混合信号超大规模集成电路国家重点实验室工作至今。主要研究方向包括电源管理芯片设计、无线能量传输电路与系统等。发表IC设计领域顶尖论文ISSCC+JSSC共45篇。撰写专著两本。申请十余项中美专利。曾获ISSCC 2017菅野卓雄远东最佳论文奖、IEEE电路与系统学会2017杰出青年作者奖、2021年度国家自然科学基金优青(港澳)项目。曾任IEEE JSSC、TCAS-I和TCAS-II客座编辑、IEEE SSCS杰出讲师。现任ISSCC技术委员会委员、CICC电源分会主席、《半导体学报》副主编。

会议组委会


大会主席

洪志良,复旦大学

路延,澳门大学

李强,电子科技大学

技术委员会主席

徐佳伟,复旦大学

陈迟晓,复旦大学

孙楠,清华大学

麦沛然,澳门大学

刘勇攀,清华大学

赵涤燹,东南大学

ICAC 2024 Conference Program Day0-March 19
10:00-21:00 签到并领取会议资料
签到地点:中星铂尔曼酒店大堂

ICAC 2024 Conference Program Day1-March 20
8:45-10:15 Tutorial#1
Multimodal Analog Front-End Circuits for Wearable Healthcare

徐佳伟,复旦大学
Tutorial#2
Reconfigurable Machine Learning Processor: Fundamental Concepts, Applications, and Future Trends
尹首一,清华大学
10:30-12:00 Tutorial#3
Silicon-based Millimeter Wave Integrated Circuit Design Technology
池保勇,清华大学
Tutorial#4
Hybrid DC-DC Converter Design: From Seeds to Leaves
路延,澳门大学
午休
14:00-14:10 开幕致辞 & ICAC 2023 Best Speaker颁奖
14:10-14:50 大会报告:刘明,复旦大学
14:50-15:30 大会报告:洪伟,东南大学
15:45-16:00 ISSCC Trends Talk#1: 罗文基,澳门大学
16:00-16:15 ISSCC Trends Talk#2: 徐鸿涛,复旦大学
16:15-16:30 ISSCC Trends Talk#3: 杨   军,东南大学
16:30-16:45 ISSCC Trends Talk#4: 张沕琳,清华大学
16:45-17:45 Welcome Reception
18:00-21:00 Industrial and Poster Session
ICAC 2024 Conference Program Day2-March 21
  Session: RF  Techniques I
Chair: 赵涤燹
Session: CIM Techniques I
Chair: 陈迟晓
Session: Data Converter I
Chair: 沈林晓
8:30-9:00 洪志良,复旦大学
射频数字发送机与功放研究
王扬,清华大学
A 28nm 83.23TFLOPS/W POSIT-Based Compute-in-Memory Macro for Large AI Models
陈知行,澳门大学
Ways to Cure Zero-ISSCC-Paper Syndrome: From an ADC Guy Point of View
9:00-9:30 薛泉,华南理工大学
A Wideband Mode-Switching Quad-Core VCO Using Compact Multi-Mode Magnetically Coupled LC Network
张锋,中国科学院微电子研究所
A 28nm 72.12TFLOPS/W Hybrid-Domain Outer-Product Based Floating-Point SRAM Computing-in-Memory Macro with Logarithm Bit-Width Residual ADC
揭路,清华大学
An Interleaved Pipe-SAR ADC with Shared Residue Integrating Amplifier
9:30-10:00 麦沛然,澳门大学
A 167μW BLE Receiver Using a Passive Quadrature-Front-End, a Double-Sided Double-Balanced Cascaded Mixer and a Dual-Transformer-Coupled Class-D VCO
叶乐,北京大学
The Development Trends, Challenges, and Research Progress of SRAM-based Computing-in-Memory AI Chip
江文宁,复旦大学
A 14b 500 MS/s Single-Channel Pipelined-SAR ADC With Reference Ripple Mitigation Techniques and Adaptively Biased Floating Inverter Amplifier
10:00-10:30 吴亮,香港中文大学(深圳)
An 8.9-to-21.9GHz Single-Core Oscillator with Reconfigurable Class-F–1 and Enhanced-Colpitts Dual-Mode Operation
李学清,清华大学
Cramming More Weight Data Onto Compute-in-Memory Macros for High Task-Level Energy Efficiency Using Custom ROM with 3984-kb/mm² Density in 65-nm CMOS
刘马良,西安电子科技大学
Key Technology Research and Industrialization of Fully Digitized ADC
茶歇
  Session: Wireline Techniques
Chair: 王成
Session: Sensor Interfaces
Chair: 谭志超
Session: Power Converter I
Chair: 路延
10:45-11:15 潘权,南方科技大学
A 112Gb/s/pin Single-Ended Crosstalk-Cancellation Transceiver with 31dB Loss Compensation in 28nm CMOS
潘思宁,清华大学
A 0.028mm² 32MHz RC Frequency Reference in 0.18μm CMOS with ±900ppm Inaccuracy from −40°C to 125°C and ±1600ppm Inaccuracy After Accelerated Aging
罗文基,澳门大学
A 93.4% Peak Efficiency CLOAD-Free Multi-Phase Switched-Capacitor DC–DC Converter Achieving a Fast DVS up to 222.5 mV/ns
11:15-11:45 杜源,南京大学
Wireline Transceiver Design with Crosstalk Cancellation Techniques
唐中,杭州万高科技股份有限公司
A 14b BW/Power Scalable Sensor Interface with a Dynamic Bandgap Reference and an Untrimmed Gain Error of ±0.26% from -40°C to 125°C
程林,中国科学技术大学
A 200MHz-Bandwidth Envelope-Tracking Supply Modulator Using a Class-G Linear Amplifier and a Single-Inductor Dual-Input-Dual-Output Converter for 5G New Radio RF Applications
11:45-12:15 周波,北京理工大学
Low-Power Low-Complexity FM-UWB Transceiver with Digital Reuse and Analog Stacking
余志桦,澳门大学
Sub- μ W Auto-Calibration Bandgap Voltage Reference With 1 σ Inaccuracy of ± 0.12%Within − 40°C to 120°C
刘寻,香港中文大学(深圳)
A Li-ion-Battery-Input 1-to-6V-Output Bootstrap-Free Hybrid Buck-or-Boost Converter Without RHP Zero Achieving 97.3% Peak Efficiency 6μs Recovery Time and 1.13μs/V DVS Rate
午休
  Session: mmWave Circuits and Systems
Chair: 吴亮
Session: Optical Sensors
Chair: 潘思宁
Session: Emerging Power
Chair: 程林
13:45-14:15 余益明,电子科技大学
A 22.4~30.7GHz Phased-Array Receiver With Beam-Pattern Null-Steering and Beam Tracking Techniques Achieving >30.2dB OTA-Tested Spatial Rejection
刘力源,中国科学院半导体研究所
A Spiking Vision Chip based on SPAD Imager and Reconfigurable Spike-based Vision Processor
黄沫,澳门大学
750mW Isolated DC-DC Converter with 54/18Mbps Full-Duplex Communication Using a Single Pair of Transformers
14:15-14:45 王成,电子科技大学
A Scalable 134-to-141GHz 16-Element CMOS 2D λ/2-Spaced Phased Array
张明磊,澳门大学
A 256x192 Pixel 30fps Automotive Direct Time-of-Flight LiDAR SoC
高源,南方科技大学
A Li-Ion Battery Input Highly Integrated LED Driver With 96.8% Peak Efficiency and Dual-Color Mixing Capability
14:45-15:15 贾海昆,清华大学
A Fully Integrated Bit-to-Bit Terahertz Transceive for Short Range Wireless Communications
卓盛龙,同济大学
Solid-state LiDAR for Intelligent Vehicles
毛方玉,莱特葳芯半导体(无锡)有限公司
A Differential Hybrid Class-ED Power Amplifier with 27W Maximum Power and 82% Peak E2E Efficiency for Wireless Fast Charging To-Go
15:15-15:45 樊超,西安交通大学
A 0.07mm² 20-to-23.8GHz 8-phase Oscillator Incorporating Magnetic + Dual-Injection Coupling Achieving 189.2dBc/Hz FoM@10MHz and 200.7dBc/Hz FoMA in 65nm CMOS
赵健,上海交通大学
Illuminating Neural Pathways: Power-Efficient Light Time-of-Flight ICs for Non-Invasive Functional Brain Imaging
潘东方,中国科学技术大学
A 6.78-MHz 79.5%-Peak-Efficiency Wireless Power Transfer System using a Wireless Mode-Recognition Technique and a Fully-On/off Class-D Power Amplifier
茶歇
  Session: RF Techniques II
Chair: 贾海昆
Session: Efficient Digital Circuits
Chair: 李学清
Session: SC DC-DC
Chair: 黄沫
16:00-16:30 钱慧珍,西安电子科技大学
CMOS Watt-Level Wideband 4096QAM Digital Power Amplifier Design With 45% Drain Efficiency and 115dB Dynamic Range
刘雷波,清华大学
A 28nm 69.4kOPS 4.4μJ/Op Versatile Post-Quantum Crypto-Processor Across Multiple Mathematical Problems
姜俊敏,南方科技大学
SC Sigma Converter for LiDAR Driver
16:30-17:00 高昊,东南大学
A 76-81 GHz 2X8 MIMO Radar Transceiver with Broadband Fast Chirp Generation and 10-Antenna-in-Package Array
单伟伟,东南大学
Proactive Voltage Droop Mitigation Using Dual-Proportional-Derivative Control Based on Current and Voltage Prediction Applied to a Multicore Processor in 28nm CMOS
江洋,澳门大学
Ratio-Regulatable Switched-Capacitor Converter Techniques for High-Density Point-of-Load Power Supplies
17:00-17:30 唐凯,湖南大学
A 107 pJ/b TX 260 pJ/b RX Ultra-Low-Power MEMS-based Transceiver with Wake-up in ISM-bands for IoT Applications
焦海龙,北京大学
C3MLS: An Ultra-Wide-Range Energy-Efficient Level Shifter With CCLS/CMLS Hybrid Structure
詹陈长,南方科技大学
Battery-Input Buck–Boost Hybrid DC–DC Converters
17:30-18:00 张川,东南大学
BayesBB: A 9.6Gbps 1.61ms Configurable All-Message-Passing Baseband-Accelerator for B5G/6G Cell-Free Massive-MIMO in 40nm CMOS
涂锋斌,香港科技大学
高算力存算一体Transformer人工智能芯片
王远飞,澳门大学
A SIDO/DISO Continuously Scalable-Conversion-Ratio SC Converter
ICAC 2024 Conference Program Day3-March 22
  Session: RF Transceivers
Chair: 邓伟
Session: CIM Techniques II
Chair: 孙亚男
Session: Data Converter II
Chair: 江文宁
8:30-9:00 殷韵,复旦大学
Design of fully-integrated multi-mode multi-band digital transmitter
刘勇攀,清华大学
存算一体芯片的再思考
孙楠,清华大学
A 4.8GS/s TI-SAR with Timing-Skew and Ping-Pong Comparator Offset Calibration
9:00-9:30 许灏,复旦大学
A 5-to-16GHz Reconfigurable Quadrature Receiver with 50% Duty-Cycle LO and IQ-Leakage Suppression
窦春萌,中国科学院微电子研究所
Emerging non-volatile and non-volatile/volatile Fused Computing-in-memory Macros for Edge Inference and Learning
郭铭强,澳门大学
When Time Interleaving Encounters Oversampling
9:30-10:00 孟淼,同济大学
Long Range and High Perfomance Backscatter Communication Techniques for Cattery-less IoT Systems
唐希源,北京大学
A 4-bit Calibration-Free Computing-In-Memory Macro With 3T1C Current-Programed Dynamic-Cascode Multi-Level-Cell eDRAM
沈林晓,北京大学
Pursuing Better Amplifiers and Their Applications in ADCs
10:00-10:30 李巍,复旦大学
A Wideband Full-Duplex Receiver with Multi-Domain Self-interference Cancellation Based on Capacitor Stacking Delay and Delay Compensation in Cancellers
司鑫,东南大学
A 22nm 64kb Lightning-like Hybrid Computing-in-Memory Macro with Compressed Adder tree and Analog-storage Quantizers for Transformer and CNNs
过悦康,上海交通大学
Nested Sigma-Delta Modulator Structure: A System-Level Power-Efficient Approach to Achieving High Resolution
茶歇
  Session: RF Techniques III
Chair: 许灝
Session: VCOs & PLLs
Chair: 钱慧珍
Session: PMIC Techniques
Chair: 高源
10:45-11:15 邓伟,清华大学
A 6-to-11 GHz 1T2R IEEE 802.15.4/4z-Compliant Joint-Radar-Communication Transceiver SoC
王政,电子科技大学
Ultra-low-jitter Millimeter-Wave Fractional-N CPPLL Frequency Synthesizer Techniques
林智声,澳门大学
A Modified KY Converter
11:15-11:45 吕关胜,清华大学
A 4.9–7.1-GHz High-Effciency Post-Matching GaN Front-End Module for Wi-Fi 7 Application
陈勇,澳门大学
Low-Jitter and Low-Spur Ping-Pong Sampling PLL Based on Ring Oscillator Targeting Wireline Links
郭建平,中山大学
A Wireless Power Transfer System with Up-to-27.9% Efficiency Improvement under Coupling Coefficient Ranging from 0.1 to 0.39 Based on Phase-Shift/Time-Constant Detection and Hybrid Transmission Power Control
11:45-12:15 李显博,中山大学
Dual-Photodiode Differential Receivers Achieving Double Photodetection Area for Gigabit-per-Second  Optical Wireless Communication
殷俊,澳门大学
A 23.2-to-26GHz Sub-Sampling PLL Achieving 48.3fsrms Jitter and 0.55μs Locking Time
陈之原,复旦大学
Piezoelectric Energy Harvesting Interface Using Self-bias-flip Rectifier and Switched-PEH DC-DC for MPPT
午休
  Session: mmWave Circuits and Systems  II
Chair: 殷韵
Session: Low Power SoC
Chair: 涂锋斌
Session: Analog Techniques I
Chair: 徐佳伟
13:45-14:15 胡三明,东南大学
A 12.4% Efficiency, 11dBm Psat, Odd-Harmonics-Recycling, 62-to-92GHz CMOS Frequency Quadrupler Using an Amplitude-Phase Coordinating Technique
周军,电子科技大学
A High Accuracy and Energy-Efficient Zero-Shot-Retraining Seizure Detection Processor with Hybrid-Feature-Driven Adaptive Processing and Learning-Based Adaptive Channel Selection
赵博,浙江大学
Wireless Sensing Chips-- From 'Low Power' to 'Battery Free'
14:15-14:45 丰光银,华南理工大学
A 52–73-GHz LNA With Tri-Coupled Transformer for Gm Boosting and Enhanced Noise Canceling
王源,北京大学
30.5 A Variation-Tolerant In-eDRAM Continuous-Time Ising Machine Featuring 15-Level Coefficients and Leaked Negative-Feedback Annealing
李洪革,北京航空航天大学
显示触控与新计算芯片
14:45-15:15 孙厚军,北京理工大学
High Performance Fully Integrated Silicon-based W-Band Phased-Array Transceiver Front-end
于维翰,澳门大学
基于5T-SRAM的低功耗语音关键字检测芯片
许威,深圳大学
Sensors in Thermal Principle with CMOS-MEMS Technology
15:15-15:45 张雷,清华大学
A 67.8-to-108.2GHz Power Amplifier with Three-Coupled-Line-Based Complementary-Gain-Boosting Technique Achieving 443GHz GBW and 23.1% peak PAE
马宇飞,北京大学
A Spike-Driven Spiking Neural Network Processing Unit Using Time-Step-First Dataflow and Sparsity-Adaptive In-Memory Computing
宋爽,浙江大学
High Resolution Hybrid Light-to-Digital Converter for PPG/NIRS
茶歇
  Session: RF Techniques IV
Chair: 殷俊
Session: Analog Techniques II
Chair: 赵博
Session: High-Density Power Circuits
Chair: 郭建平
16:00-16:30 王勇,电子科技大学
An SP10T Switch with Reconfigurable Matching and Symmetrical Routing Topologies Functioning from DC to 18GHz
李家明,澳门大学
A 0.5V 6.14µW Trimming-Free Single-XO Dual-Output Frequency Reference with [5.1nJ, 120µs] XO Startup and [8.1nJ, 200µs] Successive-Approximation-Based RTC Calibration
明鑫,电子科技大学
A NMOS LDO With TM-MOS and Dynamic Clamp Technique Handling Up to Sub 10-μs Short-Period Load Transient
16:30-17:00 李连鸣,东南大学
A 11GHz DPD FMCW PLL with 0.051% RMS Error under 2.3GHz Chirp Bandwidth, 2.3GHz/μs Slope and 50ns idle time in 65-nm CMOS
郭衍束,清华大学
A Cryo-CMOS Quantum Computing Unit Interface Chipset in 28nm Bulk CMOS with Phase-Detection based Readout and Phase-Shifter based Pulse Generation
毛翔宇,澳门大学
A Fully Synthesizable All-Digital Dual-Loop Distributed Low-Dropout Regulator
17:00-17:30 / 徐佳伟,复旦大学
A Power-Efficient Class-D Audio Amplifier with Capacitive Feedforward and PWM-Aliasing Reduction
路延,澳门大学
A Flyweight 5V-to-150V Input-Parallel Output-Series Hybrid DC-DC Boost Converter
17:30-17:40 闭幕 & 2024 Best Student Poster 颁奖

学生海报征集

为了进一步鼓励和加强芯片设计领域同学们之间的交流,除了学术主题演讲,ICAC 2024还设置了学生海报环节,并鼓励大家现场展示。会议期间将评选出最佳学生海报,并在大会上颁奖。参会海报环节的学生将获得绝佳的参会体验并将收获颇丰。

学生海报征集链接:https://iconf.young.ac.cn/IlqiW

重要日期:
海报申请提交截止日期:2024年1月31日
2024年2月8日

海报终版提交截止日期:2024年3月10日
学生海报环节举办日期:2024年3月20日
请点击下载学生海报CFP了解更多信息

学生海报展示

2024年3月20日 18:00-21:00

icac2024posterlist1 icac2024posterlist2 icac2024posterlist3 icac2024posterlist4 icac2024posterlist5 icac2024posterlist6

会议注册


会议注册(早鸟)

(截止至2024年3月5日23:59)

  • 学生注册: 1200 RMB
  • 普通注册: 2400 RMB
  • 邀请报告人注册: 2400 RMB

会议注册(常规)

(2024年3月6日00:00起)

  • 学生注册: 1500 RMB
  • 普通注册: 2900 RMB
  • 邀请报告人注册: 2400 RMB

点击注册 →

芯片设计培训班注册


  • 学生注册: 800 RMB/1场;1200 RMB/2场
  • 普通注册: 1200 RMB/1场;1600 RMB/2场


点击报名 →

注册须知:

  • 可点击上方按钮或右方链接进行注册:https://iconf.young.ac.cn/SvYKM
  • 注册成功即会收到相关确认信息,请点击此处下载会议通知,如您需要邀请信等,请发邮件至会务组:icacworkshop@126.com
  • 同单位多人参会,每四位同时注册,可免一位注册费,详情请参见注册链接。(注:现场注册不享受团体注册优惠)
  • 主办单位与赞助支持


    主办单位

                               

    承办单位

    华芯设集成电路技术中心


    钻石赞助

    铂金赞助

    黄金赞助

    纪念品赞助

    联系我们

    会议秘书

    钟女士

    邮箱:icacworkshop@126.com

    电话: (86)18628263876