Workshop on IC Advances in China (ICAC) 2022

June 22-24, 2022

Shenzhen, China (Virtual)

Welcome to Workshop on IC Advances in China (ICAC) 2022

华人芯片设计技术研讨会 2022

The goal of the ICAC Workshop is to build a platform for the academia and industry people in China to have more open technical discussions, and to generate possible collaborations, and to brainstorm new ideas and directions. Therefore, we are gathering the top Chinese IC design scholars/engineers who published ISSCC and/or JSSC in the past two years, and try to attract more attendees from the IC industry.

We hope the workshop speakers and audiences produce chemical reactions, as well as electrical resonances as our logo shows. To this end, language should not be a problem. This workshop uses both Chinese and English. This workshop does not publish papers, and the oral speakers are by invitation only.

IMPORTANT NEWS

In review of the uncertainties and travel restrictions caused by COVID-19 pandemic, ICAC 2022 conference organizing committee has made the very difficult decision to change the conference from on-site to VIRTUAL from June 22 to June 24.
ICAC 2022 virtual conference is open for free registration!The blue and red room has been fully registered,please scan the the following QR code to register for light blue room and light red room.

SPEAKERS

In Alphabetical Order...

蔡桂港,澳门大学

陈知行,澳门大学

陈志豪,香港城市大学

陈迟晓,复旦大学

陈润,纽瑞芯

陈文华,清华大学

陈勇,澳门大学

程林,中国科学技术大学

邓伟,清华大学

韩若楠,麻省理工学院(特邀)

黄沫,澳门大学

贾海昆,清华大学

揭路,清华大学

李家明 ,澳门大学

李靖,电子科技大学

林龙扬,南方科技大学

蔺智挺,安徽大学

刘佳欣,电子科技大学

刘雷波,清华大学

刘小龙,南方科技大学

路延,澳门大学

罗讯,电子科技大学

马凯学,天津大学

麦沛然,澳门大学

潘东方,中国科学技术大学

潘权,南方科技大学

潘思宁,清华大学

祁楠,中国科学院半导体研究所

钱慧珍,电子科技大学

屈万园,浙江大学

阮家辉,澳门大学

舒一洋,电子科技大学

孙楠,清华大学

唐希源,北京大学

涂锋斌,清华大学

王燕,清华大学

王扬,清华大学

王政,电子科技大学

徐佳伟,复旦大学

燕博南,北京大学

杨畅,广东工业大学

杨军,东南大学

叶乐,北京大学

易翔,华南理工大学

殷韵,复旦大学

尹首一,清华大学

张奕涵,北京大学

周亮,上海交通大学

庄浩宇,电子科技大学

To be updated...

Conference Committee


Conference Co-Chairs

Nan Sun, Tsinghua University     
孙楠,清华大学

Yan Lu, University of Macau     
路延,澳门大学

Technical Program Co-Chairs

Qiang Li, University of Electronic Science and Technology of China      
李强,电子科技大学

Pui-In Mak, University of Macau      
麦沛然,澳门大学

Yongpan Liu, Tsinghua University     
刘勇攀,清华大学

Dixian Zhao, Southeast University     
赵涤燹,东南大学

Jiawei Xu, Fudan University     
徐佳伟,复旦大学

Conference Program

ROOM I 蓝房间

https://meeting.tencent.com/dw/Aa41fBxSVJJm

Opening & Plenary

主持人: 孙楠,清华大学 & 路延,澳门大学

韩若楠,麻省理工学院

Chip-Scale Terahertz Systems and Applications
ICAC_2022_1.1_Han_Ruonan.pdf

陳志豪,香港城市大学

Terahertz Radiator Array Chips

间 歇

ROOM I 蓝房间

https://meeting.tencent.com/dw/Aa41fBxSVJJm

ROOM II 红房间

https://meeting.tencent.com/dw/zqREN5KekbW1

Digital and AI

主持人: 刘勇攀,清华大学

Data Converters

主持人: 李强,电子科技大学

刘雷波,清华大学

An Agile Crypto-Processor for Post-Quantum Cryptography on Multi-Mathematical Problems

陈知行,澳门大学

Everywhere Digital-Assisted High-Performance Analog-to-digital Converters
ICAC_2022_3.1_Chi-Hang Chan.pdf

陈迟晓,复旦大学

Multi-Chiplet-Module Computing-on-Memory-Boundary NN Processors for Scalable Edge Machine Learning
ICAC_2022_2.2_Chen_Chixiao.pdf

唐希源,北京大学

An Event-Driven Pipelined ADC with 100x BW Scaling Using A 3-Stage Cascoded FIA
ICAC_2022_3.2_Tang_Xiyuan.pdf

蔺智挺,安徽大学

The Progress and Challenge of CIM based on Static Random Access Memory
ICAC_2022_2.3_Lin_Zhiting.pdf

揭路,清华大学

Practical Concerns and Solutions in Integrated High-Resolution ADCs
ICAC_2022_3.3_Jie_Lu.pdf

午 休

ISSCC 2023 中国推介会

ROOM I 蓝房间

https://meeting.tencent.com/dw/Aa41fBxSVJJm

ROOM II 红房间

https://meeting.tencent.com/dw/zqREN5KekbW1

Digital and AI

主持人: 杨军,东南大学

Power Converters

主持人: 刘寻,香港中文大学(深圳)

叶乐,北京大学

High-efficiency SNN AI Engine with Computing-in-Memory for AIoT Chips

程林,中国科学技术大学

A Voltage-Mode Controlled DSD Power Converter with Fast Transient Responses
ICAC_2022_5.1_Cheng_Lin.pdf

林龙扬,南方科技大学

Side-Channel Attack Counteraction via Machine Learning Targeted Power Compensation for Post-Silicon HW Security Patching
ICAC_2022_4.2_Lin_Longyang.pdf

黄沫,澳门大学

CFLY Cross-Connected (CCC)
ICAC_2022_5.2_Huang_Mo.pdf

涂锋斌,清华大学

ReDCIM: Reconfigurable Digital Computing-In-Memory Processor with Unified FP/INT Pipeline for Cloud AI Acceleration
ICAC_2022_4.3_Tu_Fengbin.pdf

蔡桂港,澳门大学

A Battery-Input Sub-1V Output 92.9% Peak Efficiency 0.3A/mm2 Current Density Hybrid SC-Parallel-Inductor Buck Converter with Reduced Inductor Current in 65nm CMOS
ICAC_2022_5.3_Cai_Guigang.pdf

间 歇

Digital and AI

主持人: 蔺智挺,安徽大学

RF Circuits

主持人: 赵涤燹,东南大学

杨军,东南大学

Ultra-low Power MCU Design
ICAC_2022_6.1_Yang_Jun.pdf

周亮,上海交通大学

Heterogeneous integration for millimeter wave circuits and systems

张奕涵,北京大学

Low Leakage 6T-SRAM Design for AIoT Sensing Platforms
ICAC_2022_6.2_Zhang_Yihan.pdf

殷韵,复旦大学

A 15-bit Quadrature Digital Power Amplifier with Transformer-Based Complex Domain Efficiency Enhancement
ICAC_2022_7.2_Yin_Yun.pdf

王扬,清华大学

A 28nm 27.5TOPS/W Approximate-Computing-Based Transformer Processor with Asymptotic Sparsity Speculating and Out-of-Order Computing
ICAC_2022_6.3_Wang_Yang.pdf

易翔,华南理工大学

Wideband THz FMCW Radar
ICAC_2022_7.3_Yi_Xiang.pdf

午 休

Power Converters

主持人: 高源,南方科技大学

RF Circuits

主持人: 麦沛然,澳门大学

路延,澳门大学

Hybrid Topologies of Device-to-Device Wireless Power Transceiver
ICAC_2022_8.1_Lu_Yan.pdf

罗讯,电子科技大学

A Reflectionless Receiver With Absorptive IF Amplifier and Dual-Path Noise-Canceling LNA

潘东方,中国科学技术大学

An Isolated DC-DC Converter with a Cross-Coupled Shoot-Through-Free Class-D Oscillator Meeting the CISPR-32 Class-B EMI Standard
ICAC_2022_8.2_Pan_Dongfang.pdf

陈文华,清华大学

Energy-Efficient Silicon-Based Terahertz Power Amplifiers for Emerging B5G/6G Application
ICAC_2022_9.2_Chen_Wenhua.pdf

屈万园,浙江大学

A 5V Input 0.4~1.2V Output Reconfigurable Capacitive-Sigma Converter
ICAC_2022_8.3_Qu_Wanyuan.pdf

王政,电子科技大学

An Ultra-Low-Jitter Frequency Synthesizer with Robust Lock Acquisition Performance
ICAC_2022_9.3_Wang_Zheng.pdf

杨畅,广东工业大学

Design and Characterization of a 10-MHz GaN Gate Driver Using On-Chip Feed-Forward Gaussian Switching Regulation for EMI Reduction
ICAC_2022_8.4_Yang_Chang.pdf

舒一洋,电子科技大学

Multi-core oscillators for wideband, low phase noise, and high FoM

间 歇

Data Converters

主持人: 陈知行,澳门大学

RF Circuits

主持人: 邓伟,清华大学

刘佳欣,电子科技大学

Fully passive Noise-shaping SAR ADC with 4× passive gain and second-order mismatch error shaping
ICAC_2022_10.1_Liu_Jiaxin.pdf

麦沛然,澳门大学

A High-Linearity N-Path Passive-LNA for Direct Channel-Selection at Flexible RF
ICAC_2022_11.1_Pui-In Mak.pdf

庄浩宇,电子科技大学

A Fully Dynamic Low-Power Wideband Time-Interleaved Noise-Shaping SAR ADC

刘小龙,南方科技大学

Magnetic-Tuning Technique for CMOS Millimeter-Wave and Terahertz Signal Generation
ICAC_2022_11.2_Liu_Xiaolong.pdf

李靖,电子科技大学

A 13-Bit ENOB Third-Order Noise-Shaping SAR ADC Employing Hybrid Error Control Structure and LMS-Based Foreground Digital Calibration
ICAC_2022_10.3_Li_Jing.pdf

潘权,南方科技大学

Analysis and Design of Tuning-less mm-Wave Injection-Locked Frequency Dividers with Wide Locking Range Using 8th-Order Transformer-Based Resonator in 40 nm CMOS
ICAC_2022_11.3_Pan_Quan.pdf

孙楠,清华大学

Pipelined SAR ADC with kT/C Noise Cancellation and Robust Ring-Amp
ICAC_2022_10.4_Sun_Nan.pdf

贾海昆,清华大学

low-phase-noise millimeter-wave fundamental CMOS oscillators: from multi-core to many-core
ICAC_2022_11.4_Jia_Haikun.pdf

马凯学,天津大学

Silicon based RF/mm-wave Frequency Synthesizer Chip Design

ROOM I 蓝房间

https://meeting.tencent.com/dw/Aa41fBxSVJJm

ROOM II 红房间

https://meeting.tencent.com/dw/zqREN5KekbW1

Analog Circuits

主持人: 叶乐,北京大学

Wireless & Wireline

主持人: 潘权,南方科技大学

徐佳伟,复旦大学

Dry-Electrode Bio-Impedance Interface with Current Mismatch Cancellation and Biosted Input Impedance of 100MΩ at 50kHz
ICAC_2022_12.1_Xu_Jiawei.pdf

陈润,纽瑞芯

A 6.5-to-10GHz IEEE 802.15.4/4z-Compliant 1T3R UWB Transceiver

李家明,澳门大学

A 0.35-V 5,200-μm² 2.1-MHz Temperature-Resilient Relaxation Oscillator With 667 fJ/Cycle Energy Efficiency Using an Asymmetric Swing-Boosted RC Network and a Dual-Path Comparator
ICAC_2022_12.2_Ka-Meng Lei 1.pdf

祁楠,中国科学院半导体研究所

Co-design of the Si-Photonic Segmented-MZM and Distributed CMOS Driver for High-Efficiency Optical Interconnects
ICAC_2022_13.2_Qi_Nan.pdf

潘思宁,清华大学

A Hybrid Thermal-Diffusivity/Resistor-Based Temperature Sensor with a Self-Calibrated Inaccuracy of 0.25°C (3σ) from −55°C to 125°C
ICAC_2022_12.3_Pan_Sining.pdf

陈勇,澳门大学

Reference-Less Frequency-Detector-Less Single-Loop Bang-Bang Clock and Data Recovery Circuit
ICAC_2022_13.3_Chen_Yong.pdf

间 歇

Digital and AI

主持人: 陈迟晓,复旦大学

RF Circuits

主持人: 易翔,华南理工大学

尹首一,清华大学

Evolver: A Self-Evolvable Intelligence Processor with Reinforcement Learning Mechanism and Neural Network Plasticity

王燕,清华大学

1V 32.1dBm 92-to-102GHz Power Amplifier with Proposed Scalable 128-to-1 Power Combiner Achieving 15% Peak PAE in 65nm Bulk CMOS Process
ICAC_2022_15.1_Wang_Yan.pdf

阮家煇,澳门大学

A Low-Power Small-Area Analog Voice Activity Detector Featuring a Time-Domain CNN as a Feature Extractor and a Sparsity Related Computation and Quantization Scheme in 28nm CMOS
ICAC_2022_14.2_Ka-Fai Un,.pdf

邓伟,清华大学

D-band Joint Radar-Communication CMOS Transceiver

燕博南,北京大学

A 1.041Mb/mm^2 27.38TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-Less SRAM Compute-In-Memory Macro in 28nm with Reconfigurable Bitwise Operation for AI and Embedded Applications
ICAC_2022_14.3_Yan_Bonan.pdf

钱慧珍,电子科技大学

Direct digitally modulated Transmitters with efficiency enhancement and beam steering

午 休

企业宣讲会

间 歇

工业界嘉宾研讨会

陈  伟,矽力杰创始人

应  峰,思瑞浦CTO

邓  禹,兆易创新CTO

欧阳可青,中兴微电子副总经理

陈 振 骐,纽瑞芯创始人

Registration

Click the ROOM button or scan the QR code for FREE registration:





Organizers & Sponsors


Organizers:

             

Diamond Sponsors:

Platinum Sponsors:

Contact Us

For query, please contact conference secretary:

Ms. Lairyn Xu

Email: icacworkshop@126.com

Tel/Phone: (86)028-8755-5888, (86)13739469027